当前位置:首页 » 课程大全 » 移相信号发生器课程设计

移相信号发生器课程设计

发布时间: 2021-01-31 11:48:54

1. eda设计正负脉宽数控调制信号发生器

本书以掌握国内外最流行的电子设计自动化(EDA)技术为教学目标,以
培养学生的设计和应用开发能力为主线,系统地介绍EDA应用技术。
全书在取材和编排上,内容新颖、循序渐进,并注重理论联系实际。全
书共10章,主要内容包括VHDL硬件描述语言、Quartus Ⅱ等EDA工具软件、
可编程逻辑器件、实验开发系统、应用实例和综合设计实例。第4章对大量
常规的数字电路做出了VHDL描述,第7章详细阐述了9个典型数字系统的设计
方法,第9章选取了16个实验实例,第10章给出了4个代表性的全国大学生电
子设计竞赛赛题设计实例。读者完全可以通过这些实际操作,很好地掌握:
EDA的开发设计方法。每章后面附有小结和习题,便于读者学习和教学使用
。为方便教师教学,本书配有电子教案。
本书可作为高职高专及本科院校电子信息、电气、通信、自动控制、自
动化和计算机类专业的EDA技术教材,也可作为上述学科或相关学科工程技
术人员的参考书。还可作为电子产品制作、科技创新实践、EDA课程设计
毕业设计等实践活动的指导书。

【本书目录】

第1章 EDA技术概述
1.1 EDA技术及其发展
1.1.1 EDA技术的涵义
1.1.2 EDA技术的发展史
1.2 EDA设计流程
1.3 EDA技术的主要内容及主要的EDA厂商
1.3.1 EDA技术的主要内容
1.3.2 主要EDA厂商概述
1.4 常用的EDA工具
1.5 EDA技术的发展趋势
1.5.1 可编程器件的发展趋势
1.5.2 软件开发工具的发展趋势
1.5.3 输入方式的发展趋势
1.6 EDA技术的应用
1.6.1 EDA技术的应用形式
1.6.2 EDA技术的应用场合
本章小结
思考题和习题
第2章 VHDL硬件描述语言
2.1 VHDL概述
2.1.1 常用硬件描述语言简介
2.1.2 VHDL及其优点
2.1.3 VHDL程序设计约定
2.1.4 VHDL程序设计举例
2.2 VHDL程序基本结构
2.2.1 实体
2.2.2 结构体
2.2.3 库
2.2.4 程序包
2.2.5 配置
2.3 VHDL语言要素
2.3.1 VHDL文字规则
2.3.2 VHDL数据对象
2.3.3 VHDL数据类型
2.3.4 运算操作符
2.3.5 VHDL语言结构体的描述方式
2.4 VHDL顺序语句
2.4.1 等待语句和断言语句
2.4.2 赋值语句
2.4.3 转向控制语句
2.4.4 子程序调用语句
2.4.5 返回语句
2.5 VHDL并行语句
2.5.1 进程语句
2.5.2 块语句
2.5.3 并行信号赋值语句
2.5.4 并行过程调用语句
2.5.5 元件例化语句
2.5.6 生成语句
本章小结
思考题和习题
第3章 Quartus Ⅱ软件及其应用
3.1 Quartus Ⅱ的使用及设计流程
3.1.1 Quartus Ⅱ的图形编辑输入法
3.1.2 Quartus Ⅱ的文本编辑输入法
3.2 Quartus Ⅱ设计正弦信号发生器
3.2.1 创建工程和编辑设计文件
3.2.2 编译
3.2.3 正弦信号数据ROM定制
3.2.4 仿真
3.2.5 测试
3.2.6 配置器件
3.3 MATLAB/DSP Builder设计可控正弦信号发生器
3.3.1 建立设计模型
3.3.2 Simulink模型仿真
3.3.3 SignalCompiler编译
3.3.4 使用Quartus Ⅱ实现时序仿真
3.3.5 使用Quartus Ⅱ进行硬件测试
与硬件实现
本章小结
思考题和习题
第4章VHDL应用实例
4.1 组合逻辑电路设计
4.1.1 基本门电路
4.1.2 译码器
4.1.3 编码器
4.1.4 数值比较器
4.1.5 数据选择器
4.1.6 算术运算电路
4.1.7 三态门及总线缓冲器
4.2 时序逻辑电路设计
4.2.1 时钟信号和复位信号
4.2.2 触发器
4.2.3 寄存器和移位寄存器
4.2.4 计数器
4.2.5 序列信号发生器和检测器
4.3 存储器设计
4.3.1 只读存储器ROM
4.3.2 随机存储器RAM
4.4 状态机设计
4.4.1 摩尔型状态机
4.4.2 米立型状态机
本章小结
思考题和习题
第5章 大规模可编程逻辑器件
5.1 可编程逻辑器件概述
5.2 简单可编程逻辑器件
5.3 复杂可编程逻辑器件
5.3.1 CPLD的基本结构
5.3.2 Altera公司的器件
5.4 现场可编程门阵列
5.4.1 FPGA的整体结构
5.4.2 Xilinx公司的’FPGA器件
5.4.3 FPGA的配置
5.5 在系统可编程逻辑器件
5.5.1 ispLsI/pLSI的结构
5.5.2 Lattice公司ispLSI系列器件
5.6 FPGA和CPI。D的开发应用选择
5.6.1 FPGA和CPL|D的性能比较
5.6.2 FPGA和CPLD的开发应用选择
本章小结
思考题和习题
第6章 常用印A工具软件
6.1 Altera MAX+plus Ⅱ的使用
6.1.1 MAX+plus Ⅱ功能简介
6.1.2 MAX+plus Ⅱ设计流程
6.1.3 MAX+plus Ⅱ设计举例
6.2 Xilinx Foundation的使用
6.2.1 Foundation设计流程
6.2.2.Foundation设计举例
6.3 ModelSim的使用
6.3.1 ModelSim的使用方法
6.3.2 ModelSim与MAX-+Iplus Ⅱ的接口
6.3.3 ModelSim交互命令方式仿真
6.3.4 ModelSim批处理工作方式。
本章小结
思考题和习题
第7章 EDA技术综合设计应用
7.1 数字闹钟的设计
7.1.1 系统的设计要求
7.1.2 系统的总体设计
7.1.3 闹钟控制器的设计
7.1.4 译码器的设计
7.1.5 键盘缓冲器(预置寄存器)的设计
7.1.6 闹钟寄存器的设计
7.1.7 时间计数器的设计
7.1.8 显示驱动器的设计
7.1.9 分频器的设计
7.1.10 系统的整体组装
7.1.11 系统的硬件验证
7.2 多功能信号发生器的设计
7.2.1 设计要求
7.2.2 设计实现
7.2.3 系统仿真
7.3 序列检测器的设计
7.3.1 设计思路
7.3.2 VHDL程序实现
7.3.3 硬件逻辑验证
7.4 交通灯信号控制器的设计
7.4.1 设计思路
7.4.2 VHDL程序实现
7.4.3 硬件逻辑验证
7.5 空调系统有限状态自动机的设计
7.5.1 设计思路
7.5.2 VHDL程序实现
7.6 电梯控制系统的设计
7.6.1 设计要求
7.6.2 设计实现
7.6.3 系统仿真
7.7 步进电机控制电路的设计
7.7.1 步进电机的工作原理
7.7.2 驱动电路的组成及VHDL实现
7.8 智力竞赛抢答器的设计
7.8.1 设计思路
7.8.2 VHDL程序实现
7.9 单片机与FPGA/CPLD总线接口的设计
7.9.1 设计思路
7.9.2 VHDL程序实现
本章小结
思考题和习题
第8章 EDA实验开发系统
8.1 GW48型EDA实验开发系统原理与使用
8.1.1 系统性能及使用注意事项
8.1.2 系统工作原理
8.1.3 系统主板结构与使用方法
8.2 GW48实验电路结构图
8.2.1 实验电路信号资源符号图说明
8.2.2各实验电路结构图特点与适用范围简述
8.3 GW48系统结构图信号名与芯片引脚对照表
8.4 GWDVP?B电子设计竞赛应用板 使用说明
8.5 GW48型EDA实验开发系统使用实例
本章小结
思考题和习题
第9章 EDA技术实验
实验1 8位全加器的设计
实验2 组合逻辑电路的设计
实验3 触发器功能的模拟实现
实验4 计数器的设计
实验5 计数译码显示电路
实验6 数字钟综合实验
实验7 序列检测器的设计
实验8 简易彩灯控制器
实验9 正负脉宽数控调制信号发生器的设计
实验10 数字秒表的设计
实验11 交通灯信号控制器的设计
实验12 模拟信号检测
实验13 4位十进制频率计设计
实验14 VGA显示器彩条信号发生设计
实验15 A/D转换控制器的设计
实验16 音乐发生器的设计
第10章 EDA技术在全国大学生电子设计竞赛中的应用
10.1 等精度频率计设计
10.1.l 系统设计要求
10.1.2 系统组成
10.1.3 工作原理
10.1.4 FPGA开发的VHDL设计
10.1.5 系统仿真
10.1.6 系统测试与硬件验证
10.1.7 设计技巧分析及系统扩展思路
10.2 测相仪设计
10.2.1 测相仪工作原理及实现
10.2.2 系统测试
10.3 基于DDS的数字移相正弦信号发生器设计
10.3.1 系统设计要求
10.3.2 系统设计方案
10.3.3 DDS内部主要模块的VHDL程序实现
10.3.4 系统仿真与硬件验证
10.3.5 设计技巧分析与系统扩展思路
10.4 逻辑分析仪设计
10.4.1 设计任务
lO.4.2 设计基本要求
10.4.3 设计实现

2. 求传感器课程设计

传感器课程设计是测控技术与仪器专业开设的一门独立实践课程,也是电气工程及自动化专业的选修课程。本课程以各类传感器的性能测试、实际应用设计为线索,完成磁敏传感器、温度传感器、光电传感器、应变传感器、电感传感器、电容传感器、压电传感器、光纤传感器、温湿度传感器、智能传感器等基本型、设计性和综合性实验与设计内容,通过课内和课外相结合,自主申请实验项目和实验室开放课题相结合,使学生掌握不同种类传感器的使用方法和设计要点的基本技能,加深学生对“传感器原理及检测技术”理论知识的理解,为从事仪器系统开发与设计打下基础。

3. 移相器设计电路

“运算放大器设计一个正弦波发生器”这个我知道,但后面的“移相专器”不知道。你多多看属书。找找关于这方面的书。
“运算放大器设计一个正弦波发生器”可以帮你画出来。你的邮箱留下来我发给你。 我的邮箱[email protected] 互相学习!!

4. 模拟电子课程设计(正弦波发生器)

1 集成函数发生器ICL8038电路结构〔1〕

函数发生器ICL8038的电路结构如图1虚线框内所示,共有5个组成部分。2个电流源的电流分别为IS1和IS2,且IS1=I,IS2=2I;2个电压比较器Ⅰ和Ⅱ的阈值电压分别为1/3 VCC和1/3 VEE,他们的输入电压等于电容两端的电压uc,输出电压分别控制RS触发器的S端和端;RS触发器的输出端Q和用来控制电子开关S,实现对电容C的充放电;2个缓冲放大器用于隔离波形发生电路和负载,使三角波和矩形波输出端的输出电阻足够小,以增强带负载能力;三角波变正弦波电路用于获得正弦波信号。

2 工作原理

当给函数发生器ICL8038接通电源时,电容C的电压为0 V,电压比较器Ⅰ和Ⅱ的输出电压均为低电平;因而RS触发器的输出Q为低电平,为高电平;使电子开关S断开,电流源IS1对电容充电,充电电流时间的增长而线性上升。uc的上升使RS触发器的R端从低电平跃变为高电平,但其输出不变,一直到uc上升到1/3 VCC时,电压比较器Ⅰ的输出电压跃变为高电平,Q才变为高电平(同时变为低电平),导致电子开关S闭合,电容C开始放电,放电电流为IS2-IS1=I,因放电电流是恒流,所以,电容上电压uc随时间的增长而线性下降。起初,uc的下降虽然使RS触发器的S端从高电平跃变为低电平,但其输出不变。一直到uc下降到1/3 VEE,使电压比较器Ⅱ的输出电压跃变为低电平,Q才变为低电平(同时为高电平),使得电子开关S断开,电容C又开始充电。重复上述过程,周而复始,电路产生了自激振荡。由于充电电流与放电电流数值相等,因而电容上电压为对称三角波形,和Q)为方波,经缓冲放大器输出。三角波电压通过三角波变正弦波电路输出正弦波电压。通过以上分析可知,改变电容充电放电电流即改变RA,RB的数值,或改变电容C的数值,就改变了充放电时间,因此可改变其频率。

ICL8038是性能优良的集成函数发生器。可用单电源供电,也可双电源供电,他们的值为±5~±15 V,我们取±15 V,频率的可调范围为1~600 kHz,输出矩形波的占空比可调范围为2%~98%。

图2所示为ICL8038的引脚功能图,其中引脚8为频率调节(简称调频)电压输入端,电路的振荡频率与调频电压成正比,调频电压值是指电源VCC(引脚6)与引脚8之间的电压值,其变化范围不应超过1/3(VCC+VEE),即引脚8的输入电压范围应在+5~+15 V之间。引脚7输出调频偏置电压,其绝对值是电源+VCC与引脚7之差,一般其绝对值是1/5(VCC+VEE),也就是说7脚电压应比电源电压低1/5(VCC+VEE),如果VCC=VEE=15 V,7脚电压应取9 V,可见7脚电压也可作为引脚8的输入电压。

图3所示为ICL8038最常见的接法,矩形波输出端为集电极开路形式,需外接电阻RL=10 kHz至+VCC。图中RA和RB可分别独立调整,通过改变RA和RB的数值可改变矩形波的占空比,当RA=RB时矩形波的占空比为50%,因而为方波。当RA≠RB时,矩形波不再是方波,引脚2输出也就不再是正弦波。

5. 基于FPGA的数控移相正弦波发生器

在一些试验、研究中,有时需要存在相位差的两同频信号。通常采用移相网络来实现,如阻容移相,变压器移相等。采用这些方法有许多不足之处,比如:输出波形受输入波形的影响,移相操作不方便,移相角度随信号频率和所接负载等因素的影响等。若采用直接数字频率合成及数字移相技术,能得到频率及相位差高度稳定精确的信号且实现方便。

2.波形及移相波形发生器的系统组成原理
如图1所示为波形及移相波形发生器的系统组成方框图。

图1 波形及移相波形发生器的系统组成原理框图

标准时钟(由晶振电路产生)加于进制可编程的n进制计数器,其溢出脉冲加于可预置初值的地址计数器,生成波形存储器所需的地址信号,地址信号的产生频率正比于时钟频率,且周而复始地变化,从而使波形数据存储器输出周期的正弦序列,D/A转换器则输出连续的模拟正弦电压(或电流)波形,如图2所示。

图2 一周的两同频正弦波形频率及相位与时钟周期的关系

为移相方便,设一周期的正弦波共采样360个点,即波形数据存储器共存储360个正弦波形的数据。由于移相波形的地址计数器的第一次运行初值为m,故两正弦波存在相位差为 m°。相邻采样点有n个标准时钟脉冲间隔,即 。图1中波形数据存储器的全部数据被读出一次的频率为: 。改变n和m,即可改变输出信号的频率和相位。若时钟频率 为100MHz,则 。例如取n为1000,m为120,则产生相位为120°,频率为277.8Hz的正弦信号。当n=1时得最高信号频率为277.8KHz。

3.系统的软硬件设计

FPGA是20世纪90年代发展起来的大规模可编程逻辑器件,随着EDA技术和微电子技术的进步,FPGA的时钟延迟可达到ns级,结合其并行工作方式,在超高速、实时测控方面有非常广阔的应用前景,并且FPGA具有高集成度、高可靠性,几乎可将整个设计系统下载于同一芯片中,实现所谓片上系统,从而大大缩小其体积。为此,笔者设计了一种基于FPGA的高精度数字式移相正弦波信号发生器,该装置能够产生频率、相位、幅度均可数字式预置并可调节的两路正弦波信号。相位差范围为0~359°,步进为1°。系统选用Xilinx公司的SpartanⅡ系列XC2S100E-6PQ208E现场可编程门阵列芯片。该芯片最高时钟频率可以达到200MHz,工作电压为2.5V,采用0.22μm/18μm CMOS工艺,6层金属连线制造,系统门数量为10万,CLB阵列数量为20×30,Block RAM容量为40Kbit,最大用户I/O数量为202个。
利用FPGA内部Block RAM用来存储一周期的正弦波的360个采样点的数据,每个数据为8位,用一片8位A/D转换器AD7524来输出正弦波,再用一片10位A/D转换器AD7520的输出作为AD7524的参考电压,用来数字调节输出正弦波的幅度。另一路移相正弦波的产生采用同样的电路结构。整体电路原理图如图3所示。其中LED数码管用来显示输出信号的频率、相位差和幅度。BCD拨码开关用来对频率、相位和幅度值的预置。两按钮分别用来对频率、相位和幅度值的向上和向下调节。为节省硬件开销和FPGA的I/O口线,用一个2位拨码开关来设定显示、预置和调节的状态,即频率、相位差和幅度三个状态。

系统采用硬件描述语言VHDL按模块化方式进行设计,共分为频率、相位差、幅度预置调节控制模块、正弦波形及移相波形产生模块、显示模块及顶层模块等。通过Xilinx ISE 5.2软件开发平台和ModelSim Xilinx Edition 5.6 XE仿真工具,对设计文件自动地完成逻辑编译、逻辑化简、综合及优化、逻辑布局布线、逻辑仿真,最后对FPGA芯片进行编程下载。限于篇幅,这里仅介绍正弦波形及移相波形产生模块的设计方法(完整程序可向作者索取)。该模块分为二个进程。其部分程序如下:

process (clk)
variable temp: std_logic:='0';
begin
if rising_edge(clk) then
if temp='1' then v<=v1; temp:=not temp;v11<=d;
else v<=v2;temp:=not temp;v12<=d;
end if;
case v is --以下when语句为360个正弦波形的数据ROM表,这里只给出了首末几个。
when "000000000"=>d<="10000000";when "000000001"=>d<="10000010";
when "000000010"=>d<="10000100";when "000000011"=>d<="10000111";
……
when "101100100"=>d<="01110111";when "101100101"=>d<="01111001";
when "101100110"=>d<="01111100";when "101100111"=>d<="01111110";
when others=> null;
end case;
end if;
end process;

process (clk)
variable count,coun,b: integer range 0 to 277778;
begin
if rising_edge(clk) then
if sw='0' then sign<='1';
else --利用减法实现除法运算。
if coun<277778 then coun:=coun+n;b:=b+1;--信号n由频率预置、调节模块送来。
else
if count=b then count:=1;
if sign='1' then v1<="000000000";v2<=m;sign<='0';end if;--信号m由相位预置、调节模块送来。
if v1="101100111" then v1<="000000000";else v1<=v1+1;end if;
if v2="101100111" then v2<="000000000";else v2<=v2+1;end if;
else
count:=count+1;
end if;
end if;
end if;
end if;
end process;

对该模块利用ModelSim Xilinx Edition 5.6d XE仿真工具进行仿真的波形如图4所示。

4.结束语

本设计基于FPGA的高精度数字式移相正弦波信号发生器,充分利用VHDL硬件描述语言方便的编程,提高开发效率,缩短研发周期,而且系统的调试方便,修改容易。实验表明系统产生的波形稳定,抗干扰能力强,频率、相位和幅度调节方便,精度高,有一定的开发及生产价值。

6. 基于FPGA的DDS移相信号发生器设计

你的DDS芯片要支持相位控制和幅度控制才行。先仔细阅读一下DDS芯片的手册。按照内手册里面的寄存器操作和容使能的规则做就可以了。这还要看你调整范围,DDS芯片本身不一定够。

不是所有的DDS都支持调幅和调相。一定要看手册芯片支不支持。切记切记。

频率稳定度要看DDS的参考源,这是另外的问题了。

7. 移相电路原理

对象是信号电压,当然此时电流的相位了位跟着动,用传递函数算一下就知回道理论是什么意思了,再拿答个信号发生器,让信号能过RC分压的一个网络,对比一个输入和输出,就明白实际是什么意思了。
意思是输出信号的相位相对于输信信号相位的移动。

8. 哪位好心人帮帮忙翻译一下啊,急求的啊,积分全部奉献出来了!谢谢啦!!!

中文你应该可以看懂,给你翻译下你所说的英文。希望对你有所帮助!

DDS同 DSP(数字信号处理)一样,是一项关键的数字化技术。DDS是直接数字式频率合成器(Direct Digital Synthesizer)的英文缩写。与传统的频率合成器相比,DDS具有低成本、低功耗、高分辨率和快速转换时间等优点,广泛使用在电信与电子仪器领域,是实现设备全数字化的一个关键技术。

FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。

D/A转换器是数模转换器,在数码音响产品中负责将数字音频信号转换为模拟信号的装置。传统的声音属于模拟信号,而计算机和光盘中记录的声音是数字信号,因此录制光盘需要将模拟信号转换为数字信号,而播放光盘时需要将数字信号转换为模拟信号再通过音响播放,这个过程就需要数模转换器。

EDA不是软件,是一种技术。 EDA技术是在电子CAD技术基础上发展起来的计算机软件系统,是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。

VHDL 的英文全名是 Very-High-Speed Integrated Circuit Hardware Description Language,诞生于 1982 年。1987 年底,VHDL被 IEEE 和美国国防部确认为标准硬件描述语言。 VHDL主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可视部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。

Quartus II (Quartus 2) 是Altera公司的综合性PLD开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。 Quartus II可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。 Quartus II支持Altera的IP核,包含了LPM/MegaFunction宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三方EDA工具。 此外,Quartus II 通过和DSP Builder工具与Matlab/Simulink相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。 Maxplus II 作为Altera的上一代PLD设计软件,由于其出色的易用性而得到了广泛的应用。目前Altera已经停止了对Maxplus II 的更新支持,Quartus II 与之相比不仅仅是支持器件类型的丰富和图形界面的改变。Altera在Quartus II 中包含了许多诸如SignalTap II、Chip Editor和RTL Viewer的设计辅助工具,集成了SOPC和HardCopy设计流程,并且继承了Maxplus II 友好的图形界面及简便的使用方法。 Altera Quartus II 作为一种可编程逻辑的设计环境, 由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。

9. 急急急!!!跪求模拟电子课程设计:正弦波信号发生器

本电路(见图1)是一种频率可调的移相式正弦波发生器电路,其频率稳定度通过实际测试为0.002%。该电路性价比高,用很便宜的几个元件在很宽的频段内,实现频率连续可调。笔者在实验时将频段分为低、中、高三个频段,用拨动开关进行切换,用双联电位器R8、R9调节其阻值,实现了输出频率从0.7Hz~60kHz连续可调的功能。

该电路采用±15V供电,通过R11可调整输出正弦波的峰峰值,只要U1A的放大倍数满足大于1的条件,电路即可产生振荡。输出正弦波的峰值,最大可达20V左右。C3、C4、R8、R9决定输出频率,其输出最高频率还取决于运放的截止频率。以下是实际调试中输出波形和电容、电位器的参数值:低频段:0.67Hz~42Hz

双联电位器阻值100kΩ/100kΩ

信号峰一峰值:21~22V

中频段:27Hz~1500Hz

双联电位器阻值:100kΩ/100kΩ

信号峰一峰值196~178V

高频段:1_28kHz~60Hz

双联电位器阻值100kΩ/100kΩ

信号峰一峰值:14~15.5V

图2是电路仿真的输出波形。图1电路中A点和B点(输出)与图2中的A点和B点的输出波形相对应。A点为U2A的输出波形,B点为U3A的输出波形,从仿真结果不难看出,A点刚好比B点的相位延迟90°,信号经过U3A再移相90°后,刚好移相180。,此时B点和U1A输出的相位刚好刚相差180°。电路要求C3、R8和C4、R9两个网络参数的值要完全相同才会获得最理想的波形。由于笔者没有相关仪器,无法测量正弦波的失真度,但是从软件仿真和硬件实验来看,输出波形还是挺让人满意的。

要想实现输出频率的连续调节,就必须同时改变的阻值,实验证明用双联电位器可实现频率的连续调节,但R8、R9由于电位器的固有噪声在旋动中会有波形跳动的现象,所以电位器的品质直接影响着频率输出的稳定性。

本电路的最高输出频率取决于C3、C4、R8、R9选频网络的值和运放的响应频率,由于笔者需求的频段是1Hz~50kHz,所以未实验本电路的高频特性。理论上如不考虑运放的响应频率,改变RC的值,可使振荡频率工作在几百kHz左右。

TR1结型场效应管在这里充当压控可变电阻,它与R3、R4一起构成文氏振荡器的负反馈回路,TR1的电阻越大,负反馈越强。D2、D3、R8、R9、R10与IC(2/2)对输出振荡电压进行全波整流,在IC的1脚产生负的整流输出电压,经过D1与R7、C4滤波后获得一个负的直流电压,该电压与振荡输出的幅值差不多相等。这个负电压加在TR1的G极,控制着TR1的D-S极之间的电阻值。振荡输出幅度增大,TR1的G极电压就越负,TR1的D-S极间阻值变大,负反馈增强,使得振荡幅度减小。通过以上的自动调节,使振荡幅度保持稳定,避免放大器进入非线性区域,从而获得良好的正弦波形。

文氏振荡器常见的一种稳幅措施是在负反馈回路中加入二极管(见下图):

热点内容
武汉大学学生会辅导员寄语 发布:2021-03-16 21:44:16 浏览:612
七年级学生作文辅导学案 发布:2021-03-16 21:42:09 浏览:1
不屑弟高考成绩 发布:2021-03-16 21:40:59 浏览:754
大学毕业证会有成绩单 发布:2021-03-16 21:40:07 浏览:756
2017信阳学院辅导员招聘名单 发布:2021-03-16 21:40:02 浏览:800
查询重庆2018中考成绩查询 发布:2021-03-16 21:39:58 浏览:21
结业考试成绩怎么查询 发布:2021-03-16 21:28:40 浏览:679
14中医医师资格笔试考试成绩查分 发布:2021-03-16 21:28:39 浏览:655
名著赏析课程标准 发布:2021-03-16 21:27:57 浏览:881
北京大学商业领袖高端培训课程 发布:2021-03-16 21:27:41 浏览:919